下载之家:安全、高速、放心的绿色下载站!

当前位置:首页软件下载行业软件详细列表

Modelsim SE 2019免费版

  • 软件介绍
  • 下载地址
  • 同类推荐
  • 相关文章

Modelsim SE 2019免费版是由Mentor公司打造的一款专业好用的HDL语言仿真软件,Modelsim SE 2019免费版主要是应用于计算机领域,可以很好的满足专业人员的行业工作的需求,而小编带来的这款Modelsim SE 2019免费版拥有更加完善的功能技术,可以更好的满足行业领域人员的使用。Modelsim SE 2019免费版是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器,具有非常良好的仿真环境,能够为用户提供专业的编译技术、Tcl/Tk技术、和单一内核仿真技术,可以很好的帮助用户提高编译仿真速度,能够为用户提供全面完善以及高性能的验证功能,并且Modelsim SE 2019免费版支持PC、UNIX和LINUX混合平台,可以很好的帮助用户在多个不同的平台进行仿真编译,更加的方便了用户的使用。而在Modelsim SE 2019免费版中,软件无论是从界面设计上还是功能使用上都进行了优化,可以为用户提供更加完善的编译仿真调试功能,提高了速度从而进一步的提高了工作效率。

Modelsim SE 2019免费版

Modelsim SE 2019免费版新功能

1、更智能的GUI

智能设计的GUI可以有效利用桌面空间。 提供了高度直观的交互式图形元素(窗口,工具栏,菜单等)排列方式,使您可以轻松查看和访问其许多强大功能。 结果便是易于使用且可以快速掌握的功能丰富的GUI。 通过将Tcl用户界面集成到其HDL仿真器中,重新定义了仿真的开放性。 Tcl是一种简单但功能强大的脚本语言,用于控制和扩展应用程序。

ModelSim GUI提供高效的设计调试和分析功能以及项目和文件管理。

2、记忆窗

存储器窗口允许直观,灵活地查看和调试设计存储器。 VHDL和Verilog内存是从源中自动提取并在GUI中查看的,从而提供了强大的搜索,填充,编辑,加载和保存功能。内存窗口支持从文件或通过使用恒定,随机和计算值来预加载内存,从而节省了仅加载内存来初始化测试平台部分的耗时步骤。所有功能都可以通过命令行使用,从而可以在脚本中使用它们。

3、波形和结果查看

提供了高性能的全功能波形窗口。 Wave窗口提供了用于标记有趣的时间点并测量光标之间的时间距离的光标。波形窗口的内容可以通过强大的虚拟信号定义和分组灵活地设置格式。

在两个仿真结果之间可以轻松进行波形比较。通过用户指定的时间过滤功能,可以轻松处理RTL和门级仿真结果之间的时序差异。

该软件提供了独特的WLF管理实用程序(又名WLFMAN),该实用程序允许处理WLF结果文件。这使您可以指定要记录到WLF文件的信息量,并允许您根据信号或时间对现有WLF文件进行子集化。

WLFMAN实用程序可有效管理磁盘空间并提高模拟后调试效率。

4、源窗口模板和向导

使用VHDL和Verilog模板和向导,您可以快速开发HDL代码,而不必记住确切的语言语法。只需单击鼠标,即可使用所有语言结构。易于使用的向导将引导您逐步完成更复杂的HDL块的创建。向导显示了如何创建可参数化的逻辑块,测试台激励和设计对象。源代码窗口模板和向导通过省时的快捷方式使新手和高级HDL开发人员受益。

5、专案经理

项目管理器大大减少了组织文件和库所需的时间。在编译和模拟时,项目管理器会存储每个项目的唯一设置,使您可以从上次中断的地方重新启动模拟器。仿真属性使您可以轻松地使用预先配置的参数进行仿真。

6、代码覆盖率

设计验证的完整性可以通过代码覆盖率来衡量。软件支持语句,表达式,条件,切换和FSM覆盖范围。代码覆盖率指标是从HDL源自动得出的。由于创建了许多可配置和可重复使用的设计模块,并且并非所有指标都很有价值,因此可以使用代码覆盖率浏览器中指定的源代码实用程序和排除项来灵活管理代码覆盖率指标。

7、基于断言的验证

提供了一个全面的,基于标准的基于断言的验证(ABV)解决方案,可以选择SystemVerilog断言(SVA),属性规范语言(PSL)或两者。

8、强大的,具有成本效益的模拟

解决方案提供了功能强大的仿真解决方案,非常适合验证中小型FPGA设计;尤其是具有复杂任务关键功能的设计。

Modelsim SE 2019免费版使用教程

一、怎么仿真

1、单击File,将鼠标移至New,选择Project...,出现如图所示的对话框。上边的红框填写你要建立的工程名称,比如我们要点亮一个led,就建立一个工程名为led的工程。下边的红框是指你要把工程建立在什么位置。其余的选项默认即可。点击ok。

2、接下来,点击Create new File,在弹出的对话框中填写文件名,比如led.v,add file as type,选择verilog,点击ok。因为我们要用仿真,一般要有测试文件,再添加一个即可,和添加led.v步骤一样。我起名为tb_led.v。

Modelsim SE 2019免费版

3、分别双击文件名,进入编辑模式。编写代码。保存后,将鼠标放在其中一个文件,右键,compile->compile all。编译通过后,会提示

# Compile of tb_led.v was successful.

# 2 compiles, 0 failed with no errors.

就可以进行仿真了。

Modelsim SE 2019免费版

4、点击Simulate->start Simulation。记得把optimizationqian2的勾选去掉,点击work前的加号,选择测试文件,比如我写的是tb_led.v。ok。

Modelsim SE 2019免费版

出现wave,现在把波形加进去。在 tb_led文件右键 Add->To wave ->All items in design。开始运行仿真,点击像一本书旁边带双箭头的图标。再点击放大镜可以调节波形的时间轴的坐标。最后的仿真波形如图。希望对你们有帮助。

Modelsim SE 2019免费版

二、怎么打开工程文件

1、选择左上角的file-project-new project(文件-工程-新建工程选择),然后进入相关的界面,你会看到要新建工程的界面。

2、在要新建工程的界面中输入你新建工程的名称以及保存的目录,这个地方你要设置好,注意设置的目录和工程名称,方便你后面进行寻找。

Modelsim SE 2019免费版

3、你新建工程完毕或,可以新建verilog文件(用于编写工程代码或者测试代码),然后把这些文件加入到当前的工程,选择new-source-verilog,然后就新建了文件。

Modelsim SE 2019免费版

4、下面是我新建的两个文件,主要用于测试与非门的功能,然后你可以进行其他功能代码的编辑,编辑好之后别忘了保存。

Modelsim SE 2019免费版

更新日志

1、在2020.4中修复了SystemVerilog缺陷;

2、QSIM-64922-Vlog报告了错误;

3、65962-如果在编译时使用-autoorder和-quiet开关,如果语义错误在刷新阶段遇到错误,将不会打印错误。

4、QSIM-66278-如果占用超过2G的空间的大型数据结构将崩溃使用默认初始化。

5、QSIM-65639-design.bin的信号范围可能不正确。

点击查看更多: Modelsim

下载声明

推荐使用[迅雷]下载,使用 [360压缩]解压本站软件。

本站提供的一些商业软件是供学习研究之用,如用于商业用途,请购买正版。

本站提供的[Modelsim SE 2019免费版]资源来源互联网,版权归该下载资源的合法拥有者所有。